第100隻懶懶熊
第100隻懶懶熊
关注数: 3
粉丝数: 3
发帖数: 2,075
关注贴吧数: 12
modelSim error 求教 compiler能过 modelsim过不了 # Reading C:/altera/14.1/modelsim_ase/tcl/vsim/pref.tcl # do practice_run_msim_gate_verilog.do # if {[file exists gate_work]} { # vdel -lib gate_work -all # } # vlib gate_work # vmap work gate_work # Model Technology ModelSim PE vmap 10.3c Lib Mapping Utility 2014.09 Sep 20 2014 # vmap -modelsim_quiet work gate_work # Copying C:/altera/14.1/modelsim_ase/win32aloem/../modelsim.ini to modelsim.ini # Modifying modelsim.ini # ** Warning: Copied C:/altera/14.1/modelsim_ase/win32aloem/../modelsim.ini to modelsim.ini. # Updated modelsim.ini. # # vlog -vlog01compat -work work +incdir+. {practice.vo} # Model Technology ModelSim ALTERA vlog 10.3c Compiler 2014.09 Sep 20 2014 # Start time: 23:52:57 on Feb 07,2015 # vlog -reportprogress 300 -vlog01compat -work work "+incdir+." practice.vo # -- Compiling module HW3_1 # # Top level modules: # HW3_1 # End time: 23:52:58 on Feb 07,2015, Elapsed time: 0:00:01 # Errors: 0, Warnings: 0 # # vlog -vlog01compat -work work +incdir+C:/altera/project/db {C:/altera/project/db/HW3_1_t.v} # Model Technology ModelSim ALTERA vlog 10.3c Compiler 2014.09 Sep 20 2014 # Start time: 23:52:58 on Feb 07,2015 # vlog -reportprogress 300 -vlog01compat -work work "+incdir+C:/altera/project/db" C:/altera/project/db/HW3_1_t.v # -- Compiling module testbench # ** Warning: C:/altera/project/db/HW3_1_t.v(79): (vlog-2643) Unterminated string literal continues onto next line. # # # Top level modules: # testbench # End time: 23:52:58 on Feb 07,2015, Elapsed time: 0:00:00 # Errors: 0, Warnings: 1 # # vsim -t 1ps +transport_int_delays +transport_path_delays -L altera_ver -L altera_lnsim_ver -L cyclonev_ver -L lpm_ver -L sgate_ver -L cyclonev_hssi_ver -L altera_mf_ver -L cyclonev_pcie_hip_ver -L gate_work -L work -voptargs="+acc" HW3_1_t # vsim -gui -l msim_transcript -do "practice_run_msim_gate_verilog.do" # Start time: 23:52:58 on Feb 07,2015 # ** Error: (vsim-3170) Could not find 'C:/altera/project/simulation/modelsim/gate_work.HW3_1_t'. # # Error loading design # Error: Error loading design # Pausing macro execution # MACRO ./practice_run_msim_gate_verilog.do PAUSED at line 12 请问这个错该如何解决 求教
大厨已死 有事烧纸 这票数……
好像快一年了 ARENA 36: 中野梓 (K-ON!) 秋山澪 (K-ON!) 又要开始奋斗了....今年说不定只剩这个机会...
草泥马,坐我隔壁的情侣在调情 魂淡阿,还发出嗯嗯啊啊的声音是闹哪样
无吧龟可怕T_T 还我安宁的忍吧
这里好冷 跟签到数不成比例
噗 这素七濑?? 搞毛好像刺猬 幸好当初没补动画....
无限循环咔咔咔无限循环咔咔咔 无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔无限循环咔咔咔 连续听了一个多小时不间断在吧里是神马水平 现在脑袋好混乱 小忍卍萌~~~无限循环咔咔咔
【新人】新人抱稻 小忍好萌~~ 这里懒熊,也可以叫我熊or石头 我已经被度受抽了三次帖了 希望这次能成功 0 0
绿宝石跟轻音有仇麼 去年也是在绿宝石分票 最后结果怎样就不提了 只求今年别空手
口胡下三场轻音的对手... azusa VS 露易丝 春日 萌神 mio VS 伊莉雅 抚子 夏娜 yui VS 夏娜 智代 saber ui VS 智代 杏 小琴美 mugi VS 泉此方 黑子 金色暗影 个人认为此对阵出现机率:第五轮=第六轮>第七轮(分组机的节操)
分组姬好难推倒 rt分组姬我恨你啊 为毛新星跟恒星的规律要差这毛多 为毛今年分组这麼复杂 为毛今年是2012!!!
唔今晚就要公布第一轮对阵了吧 希望咱轻音这次能抽个好签,抢条项鍊
小忍T_T 表示小忍被越级了很难过.... 而且第一次看战报还没注意到!!! 直到刚刚为了看SDO才回去官网翻... 结果..居然..
根据海蓝1-6轮对阵所推出第七轮恒星对阵(错了误喷 不知道对不对...但是目前还没发现矛盾 先发LV1内战跟LV2对LV3... mio VS 炮姐 梓喵 VS 大河 夏娜 VS 萌神 抚子 VS 露易丝 黑仪 VS 凛 雏菊 VS yui saber VS 忍 团长 VS 伊莉雅 先这样子 剩下的明天回家再弄 理由明天再解释 PS原来运营还是稍微有点节操的,居然让我找到了一点规则
求今年常规分组原来的新星恒星带队名单 求今年常规分组原来的新星恒星带队名单 要原来的...不是后来乱掉的
【长草期】转~米娜来猜猜这张图藏了哪些动漫 1L~~
【新人】这里熊...求存在感及各种笼罩 这里熊,新人一枚 mio本命~
不想当弃票党 求前辈进来看看有哪些组我可以帮投的 恒星只有M组不熟 新星除E.H.J.N.O组之外都没认识= = 可以说说需要帮投哪些角色... 先提的 优先投喔0 0
1
下一页