verilog吧
发扬硬件描述语言魅力
关注: 5,368 主题: 17,986 帖子: 17,986
时下热门
最新回复
最新发布
2
2014-10-20
module control(CP,RESET,AJ,eS,T0,T1,T2,T3,L1,L2,F1,F2,F3); input CP,RESET,AJ,……
🧑姒似
0
2014-10-19
求大神帮助~~用四位加法器和少量逻辑门实现两个四位无符号数的乘法运算~要求用三个四位加法器级联累加
0
2014-10-18
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; u……
7
2014-10-18
求助 有没有好心人帮帮我啊
0
2014-10-15
新手,不知道如何查看power comsuption,area,clock cycles等,满意的话可追加悬赏20
1
2014-10-15
本团队由国内外名校硕士、博士组成,专业从事FPGA开发,特别是在信号处理、图像处理、高速数据采集、工业控制、存储等领域。多年经验,案例丰富,详情联系qq8……
9
2014-10-14
localparam ARRAY_WIDTH = 1 << ADDR_WIDTH;
🧑521521gbk
3
2014-10-10
🧑ynxsx
1
2014-10-10
求modelsim6.5下载地址,多谢各位了
1
2014-10-10
比如新编的模块的模块的000功能要用已编模块的0100的功能改如何编码
1
2014-10-10
initial repeat (08) #53 mode= mode+1;程序什么意思 `timescale 1ns/100ps module ALUTe……
🧑ynxsx
2
2014-10-09
输入一个脉冲信号,过一段时间后脉冲信号消失,但是仍然继续输出该信号,周期占空比什么的都一样,我想知道怎么把原信号周期占空比那些数据保存下来,新手跪求大神给……
1
2014-10-06
basys2开发板上的七段译码器是怎么用的?每个引脚是干什么的?真值是什么?往上完全找不到啊。
🧑dante28
3
2014-10-05
学习verilog的书籍,求推荐
0
2014-09-26
有没有做数字预失真项目的,或者有经验的,一起交流。杰出者有酬劳。 QQ群:333077913
1
2014-09-25
5
2014-09-21
我在top.v中定义了一个这样的底层文件beep.v beep_module beep_ct( .clk(CLK), .en(1*b0), .beep(B……
🧑大给它
1
2014-09-19
举例来说像这种电路 module and1(input [0:3]i,output o); wire a[0:3]; nmos (a[0],1,i[0])……
🧑zinechant
5
2014-09-17
module f1_zaibo( f1, out); //端口列表 input f1; output [7:0]out; //端口定义 reg [7:0]……
2
2014-09-17
调用fpga ip的一段代码的生成块如下: wire [6:0] wr_addr; wire [255:0] wr_data; genvar i; gen……
15
2014-09-11
1
2014-09-11
1)设计一个1位二进制数半加器;2)设计一个1位二进制数全加器。用verilog怎么编写实现昂?求指导
2
2014-09-04
大家平时都去那些论坛逛
6
2014-09-04
在slave模式下,当modfen=1&&ssoe=0时,SS管脚作为输入是从哪里来的,是master的SS吗?但是此时master的SS是作为input……
12
2014-09-03
near "1": syntax error, unexpected "INTEGER NUMBER" 这个1是宏定义里头//-----lf_state-……
🧑贝了壳
0
2014-08-31
您好,如果您有时间,麻烦您花些时间写一下,麻烦了。如果您很忙,请写一下要点或思路,谢谢。欢迎指导(QQ375195348) 设计要求 1.功能概要 对数据……
0
2014-08-31
要学习verilog 零基础求推荐一本适合初学者的教材
首页 45 46 47 48 49 50 51 52 53 54 55 尾页